Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2012 - 2013 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

D1
CK1
Q1
Q1
D0
CK0
Q0
Q0
Q1 Q0 (lsb)
CK
X
c. Xác định dãy đếm và cho biết ý nghĩa
của ngõ vào X:
D1 = X  Q1  Q0
D0 = Q0
X = 0: Q1Q0 = 11, 10, 01, 00, 11, …
X = 1: Q1Q0 = 00, 01, 10, 11, 00, …
Với X = 0, ta có bộ đếm xuống 2 bit;
và X =1, ta có bộ đếm lên 2 bit.
pdf 5 trang xuanthi 26/12/2022 2420
Bạn đang xem tài liệu "Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2012 - 2013 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • pdfde_thi_hoc_ky_1_mon_ky_thuat_so_nam_hoc_2012_2013_khoa_dien.pdf

Nội dung text: Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2012 - 2013 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

  1. Câu 2 (3,0 điểm) a. Cho hệ tuần tự (gồm 1 ngõ vào X và 1 ngõ ra Z) có giản đồ trạng thái như hình vẽ Q1 X = 1 0 1 0 1 Q0 D C B 1 A 0 A B 0 1 0 0 0 0 1 1 D C 0 Bảng gán trạng thái Thiết kế hệ trên bằng T-FF (kích cạnh lên) và PLA (vẽ sơ đồ kết nối PLA với Flipflop và trình bày bảng nạp PLA) (0,5d) Z XQ1 X Q Q Z Q+ Q+ T T 00 01 11 10 1 0 1 0 1 0 Q0 0 0 0 0 0 0 0 0 0 Z = Q1Q0 0 0 1 0 1 1 1 0 (0,5d) 1 1 1 0 1 0 0 1 0 0 0 T1 XQ1 0 1 1 1 0 1 1 0 00 01 11 10 Q0 1 0 0 0 1 0 1 0 0 1 T1 = X Q0 + X Q1Q0 1 0 1 0 0 1 0 0 1 1 1 1 1 0 0 1 1 0 1 T0 XQ1 1 1 1 1 1 0 0 1 00 01 11 10 Q0 0 1 T0 = X Q1 1 1 (0,5d) X Z Q T T Q 1 1 Bảng nạp PLA (0,5d) X Q1 Q0 Z T1 T0 - 1 1 1 0 0 Q0 T0 T Q 0 - 1 0 1 0 1 0 0 0 1 0 1 1 - 0 0 1 CK b. Hoàn tất giản đồ định thì (giản đồ xung) của hệ tuần tự (1,0d) CK X A A B B C B C D C Q1 Q0 Z 2
  2. Câu 5 (1,5 điểm) Hãy vẽ sơ đồ logic của bộ đếm nối tiếp được mô tả VHDL, từ đó xác định dãy đếm của bộ đếm này: library ieee; use ieee.std_logic_1164.all; entity CAU5 is port ( CLK : IN std_logic; Q : BUFFER std_logic_vector(2 downto 0)); Pr end CAU5; T Q architecture THI of CAU5 is component T_FF port ( T, CK, Pr, Cl: IN std_logic; Q: OUT std_logic); CK end component; Cl signal Z, ONE, ZERO: std_logic; begin ONE Vậy dãy đếm của bộ đếm Q2Q1Q0= 111, 110, 101, 100, 011, 111, 110, (0,5d) Câu 6 (1,0 điểm) a. Thực hiện hàm F = A  B chỉ bằng cổng NAND 2 ngõ vào F = A  B = A B + A B = A B . A B (0,5d) C1 A C2 F B C3 C4 4