Bài tập Kỹ thuật số - Lê Chí Thông - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM

ĐS

  1. Biểu diễn các số cho ở bài 1-11-3 thành hệ thập lục phân (hex).
  2. Biểu diễn các số cho ở bài 1-21-6 thành hệ thập phân (decimal).
  3. Biểu diễn các số cho ở bài 1-41-5 thành hệ nhị phân (binary).
  4. Đổi các số sau sang hệ nhị phân
    1. 27,625
    2. 12,6875
    3. 6,345
    4. 7,69
doc 22 trang xuanthi 26/12/2022 2440
Bạn đang xem 20 trang mẫu của tài liệu "Bài tập Kỹ thuật số - Lê Chí Thông - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • docbai_tap_ky_thuat_so_le_chi_thong_khoa_dien_dien_tu_dai_hoc_b.doc

Nội dung text: Bài tập Kỹ thuật số - Lê Chí Thông - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM

  1. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông 1-11 Đổi các số sau sang hệ bát phân (octal) a. 1023H b. ABCDH c. 5EF,7AH d. C3,BF2H 1-12 Đổi các giá trị sau thành byte a. 2KB b. 4MB c. 128MB d. 1GB ĐS 1-13 Lấy bù 1 các số sau a. 01111010B b. 11101001B c. 00000000B d. 11111111B ĐS 1-14 Lấy bù 2 các số sau a. 10101100B b. 01010100B c. 00000000B d. 11111111B ĐS 1-15 Lấy bù 9 các số sau a. 3 b. 14 c. 26 d. 73 ĐS 1-16 Lấy bù 10 các số sau a. 7 b. 25 c. 62 d. 38 ĐS 1-17 Biểu diễn các số sau trong hệ nhị phân có dấu 4 bit a. 5 b. -5 c. 7 d. -8 ĐS 1-18 Biểu diễn các số sau trong hệ nhị phân có dấu 8 bit a. 5 b. -5 c. 34 d. -26 e. -128 f. 64 g. 127 ĐS Bài tập Kỹ Thuật Số – Trang 2/22
  2. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông c. 1101100B d. 01000010B ĐS 1-27 Làm lại bài 1-26 với a. 10000101B b. 0101101B c. 10000000B d. 01111111B ĐS 1-28 Thực hiện các phép toán sau trên số nhị phân có dấu 4 bit a. 3+4 b. 4-5 c. -8+2 d. -4-3 1-29 Thực hiện các phép toán sau trên số nhị phân có dấu 4 bit, nếu kết quả bị tràn thì tìm cách khắc phục a. 5-7 b. 5+7 c. -2+6 d. -1-8 1-30 Thực hiện các phép toán sau trên số nhị phân có dấu 8 bit và cho biết kết quả có bị tràn hay không a. 15+109 b. 127-64 c. 64+64 d. -32-96 ĐS 1-31 Thực hiện các phép toán sau trên số BCD a. 36+45 b. 47+39 c. 66-41 d. 93-39 e. 47-48 f. 16-40 Bài tập Kỹ Thuật Số – Trang 4/22
  3. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông A B C D F1 F2 F3 a. Viết biểu thức các hàm F1, F2 và F3 b. Viết dạng Σ và Π cho hàm F1, F2 và F3 2-7 Cho bảng chân trị sau A B C D F1 F2 0 0 0 0 1 1 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 1 0 1 1 X X X 1 0 a. Viết biểu thức các hàm F1 và F2 b. Viết dạng Σ và Π cho hàm F1 và F2 2-8 Biểu diễn các hàm đã cho trong các bài từ 2-2 đến 2-7 trên bìa Karnaugh 2-9 Cho sơ đồ mạch sau, hãy viết biểu thức chuẩn 1 và 2 của F1 và F2 Y F1 X Z F2 2-10 Cho sơ đồ mạch và giản đồ xung các tín hiệu vào như sau, hãy vẽ dạng tín hiệu F. A B C F Bài tập Kỹ Thuật Số – Trang 6/22
  4. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông A B C D F a. Viết biểu thức chuẩn 2 của hàm F b. Biểu diễn hàm trên bìa Karnaugh c. Rút gọn hàm F và vẽ mạch thực hiện chỉ dùng cổng NAND 2-16 Rút gọn hàm sau và thực hiện bằng cổng NAND 2 ngõ vào F(A, B,C, D) (4,6,9,10,12,14) d(8,11,13) 2-17 Rút gọn hàm sau và thực hiện bằng cổng NOR 2 ngõ vào F(A, B,C, D) (0,2,3,4,6,9,10,11).d(7,13,15) 2-14 Thực hiện hàm F(A, B,C, D) B(C D) ACD chỉ dùng cổng NAND 2-15 Thực hiện hàm F(A, B,C, D) (A B)(C BCD) chỉ dùng cổng NOR 2-16 Cho các hàm sau F1 (A, B,C, D) A  B (BCD BCD)C A  B BDC F2 (A, B,C, D) (A C)(C D) ABD F3 (A, B,C, D) AB ABD(B CD) a. Hãy biểu diễn các hàm trên bìa Karnaugh b. Viết biểu thức tích các tổng (POS) cho các hàm c. Rút gọn và vẽ mạch thực hiện dùng toàn cổng NAND 2-17 Cho các hàm sau F1 (A, B,C, D) (0,2,3,4,6,7,8) d(5,12,14) F2 (A, B,C, D) (2,3,8,9,10,12,14,15).d(0,11,13) a. Rút gọn hàm F1 và thực hiện F1 dùng cấu trúc cổng AND-OR b. Rút gọn hàm F2 và thực hiện F2 dùng cấu trúc cổng OR-AND c. Thực hiện F1 dùng cấu trúc toàn NAND d. Thực hiện F2 dùng cấu trúc toàn NOR 2-18 Cho bảng chân trị sau G1 G2 X2 X1 X0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X X X X 0 0 0 0 0 0 0 0 X 1 X X X 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 0 0 0 0 0 1 0 1 0 1 1 1 0 0 0 0 0 0 0 1 Bài tập Kỹ Thuật Số – Trang 8/22
  5. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông Chương 3: Hệ tổ hợp 3-1 Cho một hệ tổ hợp hoạt động theo bảng sau E X1 X0 Y0 Y1 Y2 Y3 1 X X 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 0 1 a. Thiết kế hệ tổ hợp này dùng cổng bất kỳ b. Dùng hệ tổ hợp đã thiết kế ở câu a (vẽ ở dạng sơ đồ khối) và các cổng logic thực hiện hàm F(A, B,C) (4,6) 3-2 Thiết kế mạch giải mã 2421 thành thập phân (mã 1 trong 10) a. Thực hiện bằng cổng logic b. Thực hiện bằng mạch giải mã (decoder) 4 16 có ngõ ra tích cực mức 1 3-3 Thiết kế mạch cộng bán phần (HA) thực hiện bằng cổng logic. Sau đó, chỉ dùng HA (vẽ ở dạng sơ đồ khối) để thực hiện phép tính (x+1)2, biết rằng x là số nhị phân 2 bit (x = x1x0). 3-4 Một mạch tổ hợp có 5 ngõ vào A, B, C, D, E và một ngõ ra Y. Ngõ vào là một từ mã thuộc bộ mã như sau E D C B A 0 0 0 0 0 0 0 1 1 1 0 1 0 0 0 0 1 1 1 1 1 0 0 0 0 1 0 1 1 1 1 1 0 0 0 1 1 1 1 1 a. Thiết kế mạch tổ hợp dùng cổng AND-OR sao cho Y=1 khi ngõ vào là một từ mã đúng và Y=0 khi ngõ vào là một từ mã sai. b. Thực hiện lại câu a chỉ dùng toàn cổng NAND 3-5 Cho một hệ tổ hợp hoạt động theo bảng sau E X1 X0 Y0 Y1 Y2 Y3 1 X X 1 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 1 1 0 1 0 1 1 1 1 1 0 a. Thiết kế hệ tổ hợp này dùng toàn cổng NOT và NAND 3 ngõ vào b. Dùng hệ tổ hợp đã thiết kế ở câu a (vẽ ở dạng sơ đồ khối) và một cổng AND 2 ngõ vào để thực hiện một hệ tổ hợp hoạt động theo giản đồ xung như sau (với U, V, W là các ngõ vào; Z là ngõ ra) Bài tập Kỹ Thuật Số – Trang 10/22
  6. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông x3 y3 x2 y2 x1 y1 x0 y0 C Nếu C=0 thì y3y2y1y0 = x3x2x1x0 Nếu C=1 thì y3y2y1y0 = bù 2 của x3x2x1x0 3-19 Cho hàm F với 4 biến vào. Hàm có trị bằng 1 nếu số lượng biến vào có trị bằng 1 nhiều hơn hoặc bằng số lượng biến có trị bằng 0. Ngược lại, hàm có trị bằng 0. a. Hãy biểu diễn hàm trên bìa Karnaugh b. Rút gọn hàm và vẽ mạch thực hiện dùng toàn cổng NAND 3-20 Thiết kế mạch chuyển mã nhị phân 4 bit sang mã BCD chỉ dùng vi mạch so sánh 4 bit (ngõ ra tích cực cao) và vi mạch cộng toàn phần FA. 3-21 Thiết kế mạch chuyển mã Gray 4 bit sang mã nhị phân, sử dụng a. Các cổng logic. b. Mạch giải mã (decoder) 4 16. 3-22 Thiết kế mạch chuyển mã BCD thành 7421 sử dụng decoder 4 16 có ngõ ra tích cực mức 0 và không quá 4 cổng NAND. 3-23 a. Thiết kế mạch so sánh hai số nhị phân một bit A và B với các ngõ ra tích cực mức 1 sử dụng cổng logic. b. Thiết kế mạch so sánh hai số nhị phân 4 bit X=x3x2x1x0 và Y=y3y2y1y0 sử dụng cổng logic. Biết rằng ngõ ra F=1 khi X=Y và F=0 khi X≠Y. c. Thực hiện mạch ở câu (b) chỉ dùng mạch so sánh đã thiết kế ở câu (a) và mộ cổng AND. Vẽ mạch ở dạng sơ đồ chức năng . 3-24 Mạch tổ hợp có chức năng chuyển từ mã BCD thành mã BCD quá 3. a. Thiết kế mạch sử dụng cấu trúc NOR-NOR. b. Thiết kế mạch sử dụng vi mạch 7483 (mạch cộng 4 bit). 3-25 Sử dụng các mạch chọn kênh (Mux) 8 1 và mạch chọn kênh 4 1 để thiết kế mạch chọn kênh 32 1. 3-26 Cho F là một hàm 4 biến A, B, C, D. Hàm F=1 nếu trị thập phân tương ứng với các biến của hàm chia hết cho 3 hoặc 5, ngược lại F=0. a. Lập bảng chân trị cho hàm F. b. Thực hiện hàm F bằng mạch chọn kênh (Mux) 16 1. c. Thực hiện hàm F bằng mạch chọn kênh (Mux) 8 1 và các cổng (nếu cần). d. Thực hiện hàm F bằng mạch chọn kênh (Mux) 4 1 và các cổng (nếu cần). e. Hãy biểu diễn hàm F trên bìa Karnaugh f. Hãy rút gọn F và thực hiện F chỉ dùng các mạch cộng bán phần HA. 3-27 Cho hàm F(A, B,C) AB BC AC . Hãy thiết kế mạch thực hiện hàm F chỉ sử dụng a. Một vi mạch 74138 (decoder 3 8, ngõ ra tích cực thấp) và một cổng có tối đa 4 ngõ vào. b. Một vi mạch 74153 (mux 4 1, có ngõ cho phép tích cực thấp). c. Hai mạch cộng bán phần HA và một cổng OR. 3-28 Sử dụng một decoder 4 16 không có ngõ cho phép (enable) để thực hiện một decoder 3 8 có ngõ cho phép. Không sử dụng thêm cổng. 3-29 Sử dụng ba mạch chọn kênh (Mux) 2 1 để thực hiện một mạch chọn kênh 4 1. Không dùng thêm cổng. Bài tập Kỹ Thuật Số – Trang 12/22
  7. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông Chương 4: Hệ tuần tự 4-1 Thiết kế mạch đếm nối tiếp mod 16 đếm lên dùng T-FF (xung clock cạnh lên, ngõ Pr và ngõ Cl tích cực mức thấp). 4-2 Thiết kế mạch đếm nối tiếp mod 16 đếm xuống dùng T-FF (xung clock cạnh lên, ngõ Pr và ngõ Cl tích cực mức thấp). 4-3 Dựa trên kết quả bài 4-1, thiết kế mạch đếm nối tiếp mod 10 đếm lên 0 1 2 9 0 4-4 Dựa trên kết quả bài 4-2, thiết kế mạch đếm nối tiếp mod 10 đếm xuống 15 14 13 6 15 4-5 Dựa trên kết quả bài 4-2, thiết kế mạch đếm nối tiếp mod 10 đếm xuống 9 8 7 0 9 4-6 Nếu sử dụng JK-FF hoặc D-FF thay cho T-FF trong các bài 4-1 và 4-2 thì thay đổi thế nào? 4-7 Thiết kế mạch đếm nối tiếp có nội dung thay đổi theo quy luật của mã 2421, sử dụng JK-FF (xung clock cạnh xuống, ngõ Pr và ngõ Cl tích cực mức cao) 4-8 Thiết kế mạch đếm nối tiếp lên/xuống 4 bit dùng T-FF (xung clock cạnh xuống) với biến điều khiển U / D . Khi U / D =1 thì mạch đếm lên, khi U / D =0 thì mạch đếm xuống. 4-9 Thiết kế mạch đếm song song dùng JK-FF (xung clock cạnh xuống) có dãy đếm như sau 000 010 011 100 110 111 000 4-10 Làm lại bài 4-9 với yêu cầu các trạng thái không sử dụng trong dãy đếm được đưa về trạng thái 111 ở xung clock kế tiếp. 4-11 Làm lại bài 4-9 dùng D-FF. 4-12 Làm lại bài 4-9 dùng T-FF. 4-13 Làm lại bài 4-9 dùng SR-FF. 4-14 Thiết kế mạch đếm song song mod 10 có nội dung thay đổi theo quy luật của mã 2421 dùng T-FF. 4-15 Cho mạch đếm sau R R R 1 P A 1 P B 1 P C T Q T Q T Q CK CK CK CK R Q R Q R Q L L L C C C Hãy vẽ dạng sóng A, B, C theo CK và cho biết dung lượng đếm của mạch 4-16 Cho mạch đếm sau Bài tập Kỹ Thuật Số – Trang 14/22
  8. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông a. Viết hàm kích thích (biểu thức các ngõ vào) cho mỗi FF. b. Lập bảng trạng thái chuyển đổi của mạch. c. Vẽ graph (giản đồ) trạng thái của bộ đếm và cho biết hệ số đếm. d. Bộ đếm có tự kích được không? Giải thích? e. Vẽ giản đồ xung ở ngõ ra các FF theo xung CK, biết trạng thái đầu là ABC=011 4-20 Sử dụng một vi mạch 7490 để thực hiện mạch đếm mod 10. 4-21 Sử dụng một vi mạch 7492 để thực hiện mạch đếm mod 12. 4-22 Sử dụng một vi mạch 7493 để thực hiện mạch đếm mod 16. 4-23 Sử dụng một vi mạch 7490 để thực hiện mạch đếm mod 6. 4-24 Sử dụng hai vi mạch 7490 để thực hiện mạch đếm mod 60. Bài tập Kỹ Thuật Số – Trang 16/22
  9. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông Phụ lục B: Các vi mạch tổ hợp thông dụng Mạch giải mã (decoder) 2 4, 3 8, 4 16 1 2 4 0 2 3 A Y0 5 1 3 B Y1 6 1 15 2 4 1 Y2 7 2 A Y0 14 3 5 G Y3 3 B Y1 13 4 6 C Y2 5 74LS139 12 23 7 Y3 11 22 A 6 8 6 Y4 10 21 B 7 9 14 12 4 G1 Y5 9 20 C 8 10 13 A Y0 11 5 G2A Y6 7 D 9 11 B Y1 10 G2B Y7 10 13 Y2 11 15 9 74LS138 14 G Y3 12 15 13 74LS139 18 16 19 G1 14 17 G2 15 74LS154 Mạch mã hóa (encoder) có ưu tiên 8 3, 10 4 10 9 11 11 0 A0 7 12 1 12 1 A1 6 13 2 13 2 A2 1 3 9 1 3 14 2 4 A 7 2 4 GS 3 5 B 6 3 5 4 6 C 14 4 6 5 7 D 7 10 8 5 15 9 EI EO 74LS147 74LS148 Mạch chọn kênh (mux) 8 1, 4 1, 2 1 4 6 6 7 2 4 3 D0 W 5 1C0 1Y 3 1A 1Y 2 D1 5 4 1C1 5 1B 7 1 D2 Y 3 1C2 6 2A 2Y 15 D3 1C3 11 2B 9 14 D4 10 9 10 3A 3Y 13 D5 11 2C0 2Y 14 3B 12 12 D6 12 2C1 13 4A 4Y D7 13 2C2 4B 11 2C3 1 10 A 14 15 A/B 9 B 2 A G C B 7 1 74LS157 G 15 1G 2G 74LS151 74LS153 Mạch phân kênh (demux) 1 4 13 7 3 A 1Y0 6 B 1Y1 5 2 1Y2 4 1 1G 1Y3 9 1C 2Y0 10 14 2Y1 11 15 2G 2Y2 12 2C 2Y3 74LS155 Mạch cộng nhị phân 4 bit 10 9 8 A1 S1 6 3 A2 S2 2 1 A3 S3 15 A4 S4 11 7 B1 4 B2 16 B3 B4 13 14 C0 C4 74LS83 Mạch so sánh 4 bit, 8 bit Bài tập Kỹ Thuật Số – Trang 18/22
  10. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông Phụ lục C: Các vi mạch tuần tự thông dụng Mạch đếm nhị phân 4 bit đồng bộ 1 3 13 11 A QA 4 A QA 10 QB 5 QB 9 2 QC 6 12 QC 8 CLR QD CLR QD 74LS393 74LS393 3 14 4 A QA 13 5 B QB 12 6 C QC 11 D QD 15 7 RCO 10 ENP 2 ENT 9 CLK 1 LOAD CLR 74LS163 Caùc ngoõ vaøo Caùc ngoõ ra Chöùc naêng CLR LOAD ENP ENT CLK Q A Q B QC Q D L x x x L L L L Reset veà 0 H L x x D C B A Nhaäp döõ lieäu vaøo H H x L Khoâng thay ñoåi Khoâng ñeám H H L x Khoâng thay ñoåi Khoâng ñeám H H H H Ñeám leân Ñeám x x x x Khoâng thay ñoåi Khoâng ñeám RCO (Ripple Carry Out) = ENT.QA.QB.QC.QD Mạch đếm lên/xuống đồng bộ nhị phân 4 bit 15 3 1 A QA 2 10 B QB 6 9 C QC 7 D QD 5 12 4 UP CO 13 11 DN BO 14 LOAD CLR 74LS193 UP DN LOAD CLR Chöùc naêng H H L Ñeám leân H H L Khoâng ñeám H H L Ñeám xuoáng H H L Khoâng ñeám x x L L Nhaäp döõ lieäu vaøo x x x H Reset veà 0 Mạch đếm mod 10 (mod 2 và mod 5) Bài tập Kỹ Thuật Số – Trang 20/22
  11. Đại học Bách Khoa TP.HCM – Khoa Điện-Điện tử Lê Chí Thông 2 3 SR 15 4 A QA 14 5 B QB 13 6 C QC 12 7 D QD SL 11 9 CLK 10 S0 1 S1 CLR 74LS194 Mạch chốt 8 bit 3 2 3 2 11 4 D0 Q0 5 4 D0 Q0 5 1 C 7 D1 Q1 6 7 D1 Q1 6 OC 8 D2 Q2 9 8 D2 Q2 9 2 19 13 D3 Q3 12 13 D3 Q3 12 3 D1 Q1 18 14 D4 Q4 15 14 D4 Q4 15 4 D2 Q2 17 17 D5 Q5 16 17 D5 Q5 16 5 D3 Q3 16 18 D6 Q6 19 18 D6 Q6 19 6 D4 Q4 15 D7 Q7 D7 Q7 7 D5 Q5 14 1 1 8 D6 Q6 13 11 OC 11 OC 9 D7 Q7 12 G CLK D8 Q8 74LS373 74LS374 74LS573 Bài tập Kỹ Thuật Số – Trang 22/22