Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2013 - 2014 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

Từ hình vẽ, ta thấy đây là bộ đếm lên, không đầy đủ. Ta có, tín hiệu reset: Z = Q2Q0,
vậy khi Q2Q1Q0= 1x1, bộ đếm sẽ reset về trạng thái đầu. Xét 2 trường hợp:
* T/H1: Q2Q1Q0= 101, trạng thái đầu là Q2Q1Q0= 001 (do Z chỉ đưa vào chân Clear của Q2).
Từ trạng thái 001, bộ đếm sẽ đếm lên: 001 -> 010 -> 011 -> 100. Xung clock tiếp theo sẽ reset
bộ đếm về lại 001. Ta có bộ đếm lên m=4, bắt đầu từ trạng thái 001.
* T/H2: Q2Q1Q0= 111, trạng thái đầu là Q2Q1Q0= 011. Từ trạng thái 011, bộ đếm sẽ đếm
lên: 011 -> 100. Với xung clock tiếp theo sẽ reset bộ đếm về lại 001. Ta cũng lại có bộ đếm
lên m=4, bắt đầu từ trạng thái 001 như trường hợp 1.
Vậy ta có dãy đếm là: Q2Q1Q0= 001, 010, 011, 100, 001, … 
pdf 7 trang xuanthi 26/12/2022 2300
Bạn đang xem tài liệu "Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2013 - 2014 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • pdfde_thi_hoc_ky_1_mon_ky_thuat_so_nam_hoc_2013_2014_khoa_dien.pdf

Nội dung text: Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2013 - 2014 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

  1. Dãy đếm QAQBQC = 100, 010, 000, 001, 101, 111, 100, + + + QA QB QC Q A Q B Q C JAKA JBKB JCKC 0 0 0 0 0 1 0 X 0 X 1 X Rút gọn bìa K, ta có: 0 0 1 1 0 1 1 X 0 X X 0 0 1 0 0 0 0 0 X X 1 0 X 0,75 đ JA = QC KA = QB QC 0 1 1 1 0 0 1 X X 1 X 1 JB = QA KB = 1 1 0 0 0 1 0 X 1 1 X 0 X 1 0 1 1 1 1 X 0 1 X X 0 JC = QA QB KC = QB 1 1 0 1 0 0 X 0 X 1 0 X 0,75 đ 1 1 1 1 0 0 X 0 X 1 X 1 QA Q Q B C JA QA JB QB JC QC KA QA KB QB KC QC 1 CK 0,5 đ Câu 3 (1,0 điểm) Một hệ tuần tự kiểu MEALY có một ngõ vào X và một ngõ ra Z. Ngõ ra Z là 1 khi ngõ vào X nhận được chuỗi bit liên tiếp là 010 hoặc 0110. Biết rằng chuỗi được xét liên tục. Hãy thành lập bảng chuyển trạng thái. Rút gọn bảng trạng thái (nếu được) Vd : X = 0,1,1,1,0,1,0,1,1,0,1,0,0,0,1,1,0,1,1,1,0,1,0,1, Z = 0,0,0,0,0,0,1,0,0(1,0 đ) ,1,0,1,0,0,0,0,1,0,0,0,0,0,1,0, 1,0 đ Trạng thái Ý nghĩa HT KT Z Ta có, A và C tương đương A Trạng thái reset X=0 X=1 X=0 X=1 HT KT Z A B C 0 0 (chưa nhận bit) X=0 X=1 X=0 X=1 B 1 bit 0 B B D 0 0 A B A 0 0 C 1 bit 1 C B C 0 0 B B D 0 0 D 2 bit 0,1 D B E 1 0 D B E 1 0 E 3 bit 0,1,1 E B C 1 0 E B A 1 0 2
  2. Câu 5 (1,0 điểm) Hệ tuần tự được thiết kế bằng PLA và D-FF như hình vẽ. Hãy vẽ giản đồ trạng thái của hệ. Bảng nạp PLA X Z X QA QB Z DA DB 1 0 - 0 1 0 Q D D Q A A - - 1 0 1 0 - 0 1 1 0 1 ck - 1 0 1 0 0 Q B DB D Q Từ bảng PLA, ta có: Z = QA QB + QA QB ck D = X Q + Q = Q+ CK A A B A + DB = QA QB = Q B Bảng chuyển trạng thái 0 ,5 đ 1 + + X QA QB Z Q AQ B 00 10 0 0 0 0 0 0 0 1 0, 1 0 0 1 1 1 1 X = 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 0 1 0 0,5 đ 1 0 1 1 1 1 0, 1 1 1 0 1 0 0 01 11 1 1 1 0 1 0 1 0 0, 1 Câu 6 (1,0 điểm) Cho code VHDL mô tả hàm logic F. Hãy xác định biểu thức của F và viết lại code bằng lệnh WITH-SELECT-WHEN (chỉ viết từ phần architecture) library ieee; use ieee.std_logic_1164.all; entity CAU6 is port ( A, B, C, D : IN std_logic; F : OUT std_logic); end CAU6; architecture THI of CAU6 is begin process (A, B, C, D) begin if A = ‘0’ then F <= B and C; else F <= not C or D; end if; end process; end THI; 4
  3. Câu 8: câu tự chọn (sinh viên chọn làm 8a hoặc 8b) (1,0 điểm) 8a. Thành lập lưu đồ SM (rút gọn nếu được) của hệ tuần tự được mô tả ở câu 4 A 10 = Q 1Q0 Lưu đồ SM rút gọn 0,5 đ A 10 = Q1Q0 0 1 X V 0,5 đ V V 0 1 X B 01 B 01 0 1 X C U,V 0 1 X C U,V 0 X 1 V 0 U X 1 D V U D 1 0 X U U,V U 1 0 X V 6