Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2014 - 2015 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

Câu 2 (2,0 điểm) Cho bộ đếm Q2Q1Q0 có sơ đồ như hình vẽ. Hãy vẽ giản đồ trạng thái của bộ
đếm và cho biết bộ đếm có cần xác định trạng thái đầu (reset) hay không? Nếu có hãy vẽ thêm
mạch xác định trạng thái đầu để bộ đếm hoạt động theo vòng đếm có nhiều trạng thái nhất
(biết rằng các FF có ngõ vào Preset và Clear tích cực thấp). 
pdf 6 trang xuanthi 26/12/2022 2380
Bạn đang xem tài liệu "Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2014 - 2015 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • pdfde_thi_hoc_ky_1_mon_ky_thuat_so_nam_hoc_2014_2015_khoa_dien.pdf

Nội dung text: Đề thi học kỳ 1 môn Kỹ thuật số - Năm học 2014 - 2015 - Khoa Điện - Điện tử - Đại học Bách Khoa TP.HCM (có đáp án)

  1. Q2 C Y0 Q1 B Y1 L1 Q0 A (lsb) Y2 Y3 Y4 L2 1 G1 Y5 0 G2A Y6 0 G2B Y7 IC74138 Có thể dùng cổng AND cho dạng  của L1 và L2 Câu 2 (2,0 điểm) Cho bộ đếm Q2Q1Q0 có sơ đồ như hình vẽ. Hãy vẽ giản đồ trạng thái của bộ đếm và cho biết bộ đếm có cần xác định trạng thái đầu (reset) hay không? Nếu có hãy vẽ thêm mạch xác định trạng thái đầu để bộ đếm hoạt động theo vòng đếm có nhiều trạng thái nhất (biết rằng các FF có ngõ vào Preset và Clear tích cực thấp). Q2 Q Q1 0 1 1 J2 Q2 J1 Q1 1 J0 Q0 K2 Q2 K1 Q1 K0 Q0 1 CK RS J2 = Q1  Q0 Q Q Q J K J K J K Q +Q +Q + 2 1 0 2 2 1 1 0 0 2 1 0 0 0 0 1 0 1 0 1 1 1 1 1 K = Q 2 0 0 0 1 0 1 1 1 1 1 0 1 0 0 1 0 0 0 1 0 1 1 0 1 1 J = Q 1 2 0 1 1 1 1 1 1 1 1 1 0 0 1 0 0 1 0 0 0 1 0 1 0 1 K = Q 1 0 1 0 1 0 1 0 1 1 0 0 0 1 1 1 0 0 0 0 0 1 1 1 1 1 J = 1 0 1 1 1 1 1 0 1 1 1 0 0 0 K0 = Q2 + Q1 2
  2. a. Vẽ giản đồ trạng thái của hệ (1 điểm) 00 0/11 X/Z1Z2 = 1/11 0/01 , 0/10 1/01 10 01 11 0/01 , 1/01 1/00 b. Nếu thay ROM trên bằng PLA, hãy vẽ thêm các đường tích (AND) và đánh dấu kết nối trong các dãy AND và dãy OR bên trong PLA Vẽ bìa K và rút gọn: Z1 = X Q1 + Q1 Q2 Z2 = Q2 T1 = X Q1 + Q1 Q2 T2 = X Q1 + Q1 Q2 (1 điểm) Nếu viết lại Z2 = Q2 = Q1 Q2 + Q1 Q2 thì chỉ cần 4 đường tích X Q1 Q2 (1 điểm) Z1 Z2 T1 T2 4
  3. a. Vẽ giản đồ trạng thái (graph trạng thái) của hệ trên A X = 1 B 01 1 00 0 0 0 C 1 (1 điểm) 11 b. Thay vì mô tả hàm ngõ ra Z1 và Z2 trong Process, hãy viết lại lệnh mô tả Z1 và Z2 bằng lệnh đồng thời WHEN – ELSE bên ngoài Process. (1 điểm) Z1 Y Y Y Y Y <= ”0000”; SIGNAL X: std_logic_vector(2 END CASE; downto 0); END PROCESS; BEGIN END thi; X <= E & U & V; PROCESS (E,U,V) PROCESS (X) BEGIN BEGIN X <= E & U & V; GV ra đề Ngày 10 tháng 12 năm 2014 BM Điện Tử NGUYỄN TRỌNG LUẬT 6